A Comprehensive Review of Low Density Parity Check Encoder Techniques

A Comprehensive Review of Low Density Parity Check Encoder Techniques

Divyashree Yamadur VenkateshKomala Mallikarjunaiah Mallikarjunaswamy Srikantaswamy 

Dept. of ECE, Visvesvaraya Technological University, Belagavi 560018, India

Dept of ECE, SJB Institute of Technology, Bengaluru 560060, India

Dept of ECE, JSS Academy of Technical Education, Bengaluru 560060, India

Corresponding Author Email: 
divyapatel.gowda@gmail.com
Page: 
11-20
|
DOI: 
https://doi.org/10.18280/isi.270102
Received: 
14 July 2021
|
Revised: 
17 February 2022
|
Accepted: 
23 February 2022
|
Available online: 
28 February 2022
| Citation

© 2022 IIETA. This article is published by IIETA and is licensed under the CC BY 4.0 license (http://creativecommons.org/licenses/by/4.0/).

OPEN ACCESS

Abstract: 

This paper presents a survey on various technologies of low density parity check encoder. LDPC codes are capable to handle high speed communication channel, by reducing attenuation, hazards and efficiently rectifying the linear error correction. Various coding technologies used in new generation communication system, such as turbo code, hamming code, low-density parity check (LDPC) code and Bose–Chaudhuri–Hocquenghem (BHC) code, are widely used in recent communication system. The LDPC has technical remarkable advantages and better performance in high speed communication process compared to turbo code. This paper deals with study of LDPC encoding techniques with various methods of detecting error and its correction. Here classification and performance analysis of LDPC encoding techniques on the basis of resources utilization, systematic, non-systematic approaches and consumer data right etc. have been analyzed in this paper. Apart from above mentioned criteria, this study deals with hardware and software architecture of LDPC encoder in rectification of forward error correction, parallel execution of instruction set. This study and analysis could offer scalability, the future scope of improving the performance of LDPC encoder in all aspects of the next generation communication process. This paper gives overview of various LDPC encoder applications, drawbacks and solution to overcome it.

Keywords: 

low density parity check (LDPC), Bose Chaudhuri Hocquenghem (BCH), field programmable gate array, quasi-cyclic low density parity check (QC-LDPC), consultative committee for space data systems (CCSDS)

1. Introduction

The Low-density parity-check code is widely used in non-cooperative communication but faces more difficulty to be handled in cooperative communication process using existing LDPC encoding techniques. The major research gaps have been identified by an extensive survey carried out on LDPC encoder techniques. Existing LDPC encoder Techniques generate inaccurate bit error rates when it operated in Binary Phase Shift Keying (BPSK) signal over the additive white Gaussian Noise (AWGN) channel and it is requiring more parallel switching operation when LDPC encoder operates in sort code words. it consists of high authenticated data packets in accordance with the LPDC encoder and needs to set threshold parameters. in this situation, the existing LDPC encoding techniques such as turbo code, Hamming code, BHC face technical problems like parallel operation gate switching speed, required more iterations, and low weight code words. Motivation: In recent days more digital payment amount transactions have been made by customers, and 5G communication process has been used in cellular networks and in high security military applications. It requires more authentication, fast processing and long distance parallel communication. Hence LDPC encoder techniques is very useful for next generation communication process and security.

The LDPC code is a linear error correcting code. This code transmits the message in a noisy transmission channel effectively. This course was introduced by Robert G Gallager in his research work. Compared to the decoding process, the LDPC encoding is complex in nature. Since LDPC can offer a reliable data transmission, they have special attention by the researchers in the field of research. The error correcting capability of these codes is exceptionally high. Since there are only a few 1's in the parity check matrix in comparison to the number of 0's, they are called Low-Density Parity-Check codes. LDPC encoding process is carried out with the creation of a parity check matrix. This matrix should be a sparse matrix with predetermined value of columns and rows which depends on the code word size and employed code rate respectively. The complete binary data vector, upon multiplication, with a generator matrix (obtained from parity check matrix) gives the encoded data sequence [1]. The encoding process of LDPC block diagram is shown in Figure 1. The LDPC codes are flexible in nature and have lower decoding complexity in comparison to the turbo codes. Hardware implementation is made possible because of the parallel capability of the codes. The throughput is high which allows faster decoding. In the prior art, several methods and algorithms have been proposed in order to minimize the number of ones in the parity check matrix, such as lower triangular method, straight forward method and modified lower triangular method [2-6]. Two different methods are available to symbolize the LDPC codes: Matrix method and graphical representation.

The LDPC codes have many advantages, of which the important ones include lower complexity in process of iterative decoding and lacking of low weight code. The codes which are low weight are avoided in a straightforward manner and it also helps in reduction of bit error rates. The concept of parity check trellis graph is used by these codes. Some of the LDPC codes are regular in nature. This classification is made based on weight on H matrix. The matrix H in regular LDPC codes having identical column and row weight. However, in an irregular LDPC code, the weight of column and row of matrix are different. Similarly non-binary and binary is another method of classification of the LDPC code. This classification is made according to the elements present in the H matrix. The binary LDPC quotes are formed by using 1 and 0, whereas a non-binary LDPC code is formed from the finite q elements (GF(q)) where q=2p for some integer p.

Figure 1. Basic LDPC encoder block diagram

2. Literature Survey

The authors [7] have presented different approaches to perform search and optimization of the codes using their sparse parity check matrices. The method incorporates replacing the non-zero elements of h matrix by circulating the elements in a GF (2 m) finite field, and obtaining the LDPC block codes and Quasi cyclic BPC block codes. To compare the Quasi cyclic BPC block codes, we can find the better performance on the optimized convolution LDPC block by witnessing coding delay and decoding complexity. The author proposed the technique which is capable of finding better performance of LDPC codes in different applications. The optimization of the codes can be done as explained: Base Matrix Optimization and labelling Optimization. The practical restrictions have been demonstrated which include delay compatibility and low encoding complexity [8, 9]. The authors [10] have proposed a code known as systematic quasi cyclic LDPC code. The construction of this systematic code is done by the technique of row reduction which is different in comparison to the conventional Gaussian row reduction method. Row reduction technique is actually easy to implement in comparison to method of gaussian row reduction. The structure of quasi cyclic is retained in this work. The parity check matrix H, of QC LDPC has also been retained in addition to introduction to a low complexity approach for generator matrix design. This method offers an efficient BER performance for high rate quotes and retains less complexity in the encoder circuit [11-15].

2.1 Systematic and non-systematic QC-LDPC methods

The proposed systematic construction performs similar to the field partition for low values of SNR and has a better performance for higher values of SNR, as seen in Figure 2. The authors [4] have proposed the LDPC encoder design with the use of a lower triangular code check matrix. The matrix is designed using Lab-view programming language. The 3 sub block sizes and 4 code rates are obtained with the help of a unified architecture. On the basis of 802.11 wireless standards, calculation of the redundancy bits was done to generate 12 different code words. The design of Mask Matrix was used to differentiate space and zero in the code check matrix. The code words transposed is used with the code check matrix product to determine the accuracy of the codes. An encoder of lower triangular and code check matrices is designed by using four code rates and three Z values which results in generating the 12 code check matrices. The authors [16] have utilized in encoding operation using rows and columns of LDPC parity Matrix.

Figure 2. Nonsystematic QC-LDPC (744, 573) comparison with systematic QC-LDPC (806, 620)

2.2 LDPC encoder and decoder resource utilization protocols

The parity check encoder and decoder are proposed and implemented on Xilinx platform. The simulated results shows that the implemented design consumes low power, minimum number of resources and produce high accuracy [17]. Table 1 shows resource usage report of the implemented design. The proposed encoder utilizes the 13% of RAM resources, and 17% of slices. This shows that the designed encoder is suitable for implementation of the hardware.

Table 1. LDPC implementation resource utilization

Processor Logic Utilization

Available Resource

Used Resource

Utilization Resource in percentage

No’s of BUFG/BUFGCTRLs

16

1

6%

No’s of Block (First in First out) FIFO/ (Random Access Memory) RAM

268

36

13%

No’s of Slice Registers

184304

323313

17%

No’s of bonded IOBs

296

8

2%

No’s of Slice Look-up Table (LUTs)

92152

32479

35%

No’s of fully used Look-up Table Flip-flop (LUTs-FF) pairs

39400

25392

64%

In this study, the Model-Sim and MATLAB software can be used to establish a joint platform as per the characteristic of quasi-cyclic and in CDR standard for LDPC encoder. The Figures 3 and 4 shows block diagram and Graphical representation of LDPC implementation respectively [18-20]. LDPC code is very essentially used in China digital radio (CDR). it is a frequency modulation radio broadcast standard (87 MHz to 108 MHz). The CDR signal strength is attenuated due to noise generated by various parameters, as shown in Figure 5. Figure 6 shows the LDPC code performance analysis which has been done on various communication encoding techniques such as OFDM with Forward Error Correction (FEC), Fast Information Channel (FIC), Mobile switching centers (MSC) Level 2 using Digital Audio Broadcasting standard channels with a conventional code rate of 3/8 with a code length of 1152 bits.

Figure 3. LDPC Encoder protocol verification block diagram

Figure 4. Graphical representation of LDPC implementation resource utilization

Figure 5. LDPC code performance analysis with various CDR code rates

Figure 6. LDPC code performance analysis for DAB using standard channels

3. Field Programmable Gate Array Based LDPC Encoder Techniques

In the applications of Deep-Space (AR4JA) and Near-Earth (C2) communications, the Consultative Committee for Space Data Systems (CCSDS) uses the Quasi-Cyclic Low-Density Parity-Check (QC-LDPC) codes. In order to obtain the high throughput, the existing architecture is not efficient. Therefore, encoder architectures with CCSDS are recommended with suitable standards. In the prior art, several architectures have many numbers of registers and logical resources. In this paper, we propose an architecture which reduces resource utilization. A grouping has been used in encoding algorithm to extract the common subexpressions. To reduce logical resources, two-layer architecture is used to integrate similar kind of circuit structures [21-26]. A pre-processing method is used to handle special size of the generator’s matrix. Additionally, the control unit is replaced with configuration registers. The proposed architecture is implemented using only 1658 LUTs and 1038 FFs, verified on FPGA and a throughput of 4.69 Gbps is achieved. This architecture comparison shows that, it provides multi-Gbps throughput and lower resource utilization as compared to existing architectures.

3.1 FPGA based quasi-cyclic low density parity checks encoder

In this paper, we propose 12 quasi-cyclic low density parity checks with two-step encoding as per the standards of IEEE 802.11n/ac/ax. In this method it includes all codes of the particular set instead of separately targeting each code. Multiplication is performed by inverse matrices in the proposed algorithm. This encoding method will greatly reduce the complexity of the multiplications. For any other type of supported codes, the encoding process will take single or many clock cycle which allows the full-parallel architecture implementation. XOR-gate trees are used in proposed VLSI encoding architecture [27-29]. The proposed method uses the common sub-expression sharing techniques (CSST) to extract common subexpressions (CSs) with features and structure of the used matrices. In this paper the original matrices common features and corresponding inverses helps to identify expressions result. Here the specific codes that deals with innovative subexpression extraction procedures are explained as a set. The proposed encoder technique operates at 1 GHz frequency and consumes 125 to 107 K-Gates and integrated using 90- and 45-nm technologies. This encoder achieves the throughput up to 1.62 Tbps.

In this work, On Field-Programmable Gate Array (FPGA), we have implemented a rate-adaptable (RA) prefix-free code distribution matching (PCDM) encoder. A wide range of information rates from 1.6 to 4.8 bit/symbol with fine granularity of 0.2 bit/symbol in probabilistic constellation shaping (PCS) systems, is supported in RA-PCDM encoder Implementation. RA-PCDM Implementation has the shaping performance of 0.55 db of the theoretical limit [30-35]. In a universal architecture for all PCDM codebooks, sliding window processor is used in demonstrating parallel encoding of RA-PCDM. RA-PCDM encoding and decoding is almost the same in terms of procedure and complexity. It is shown that less hardware area is used in RA-PCDM when compared with low-density parity-check (LDPC) codes based traditional rate adaptation scheme, while offering finer rate granularity and shaping gain. To achieve channel capacity, RA -PCDM is a practical PCS technology that enables high-throughput optical communications than RA-LDPC at a lower cost [36, 37].

3.2 LDPC encoding preprocessing frameworks

The authors [38] have described a flexible hardware encoder which can be used for regular and irregular parity check codes having low density. In comparison to the turbo codes, the LDPC codes have higher performance and lower complexity in decoding. However, the drawback of this code is complexity in encoding. The authors have presented hardware LDPC encoder by incorporating methods used by the Richardson and Urbanke [39-42]. The complexity of the designed encoder is in linear nature, flexible in design operation and supports H matrices as shown in Figure 7. An implementation on FPGA Virtex-II XC2V4000-6 shows that it occupies the resource space of only 4%. The frequency of the operation is 143 MHz and produces the throughput in terms of code words of 45 million with latency equal to 0.18 ms. Improvement in performance is achieved by working on the parallelism feature. On the same chip several instances of encoder are mapped concurrently by encoding the multiple message blocks. In the same device if encoder is implemented with sixteen instances and 82 MHz frequency, then device is capable of producing code word of 410 million per second and operates 80 times faster in comparison to Intel Pentium-lV 2.4 GHz PC [43, 44].

The LDPC codes perform well in comparison to Turbo codes, having low complexity and are similar in design. The authors have considered the encoding problems of the LDPC codes. The problem of encoding for codes specified by sparse parity-check matrices has been considered [45, 46]. The authors have demonstrated the method of design efficient encoders by exploring the sparseness of parity check matrix. Also authors have proven that dissociative coefficient can be reduced to a very low value to maintain and coding quotes even of the practical length 100000. The optimized codes have actually admitted the real linear time encoding. Table 2 shows the comparison between various processor instruction execution speeds with respect to time. Figure 8 shows the graphical analysis of various processor instruction execution speed with respect to time.

Figure 7. LDPC encoder pre-processing framework

Figure 8. Graphical analysis of various processor instruction execution speeds with respect to time

Table 2. Various processor instruction execution speed with respect to time

Processor

Time (Sec)

Speed (MHz)

Field Programmable Gate Array-Virtex®-2, 16 encoder instances.

1

82

PC AM112 (Intel Pentium 4 2.66 GB).

80

2400

Field Programmable Gate Array-Virtex®-2, Encoder.

9

143

Hard drive20 GB, RAM256 MB, 1-GHz Pentium III

312

700

DVB-S2 standard LDPC encoder architecture has been studied [47]. The 360 bit wise parallel operation is the basis of proposed LDPC encoding architecture. To improve the speed two types of index addresses are used. This designed LDPC encoder is implemented on FPGA and throughput found to be 10GBPS at 100 MHz clock frequency.

The high speed parallel 360 bit wise processing is performed by using Eq. (1).

$B_{i, j}=B_{i, j} \oplus B_{i-1, j}$

$(i=1,2,3 \ldots, p-1, j=0,1,2 \ldots,359)$                  (1)

where, ‘B’ presents Bit parity in memory, ‘i’ descries the row wise location in memory matrix, ‘q’ is the first column out of 359 columns in the memory matrix and ‘j’ descries the row wise location in memory matrix.

4. Various LDPC Algorithms and Its Architectures

The authors [48] have presented a new LDPC encoder for CMMB and it has been designed based on the RU method. In this method, backtracking algorithm have been used to improve the efficiency. This process significantly minimizes complexity of encoding and calculation. The LDPC encoder implemented for CMMB has an increased rate of encoding.

4.1 Hardware and software framework for LDPC Encoders

Figure 9 shows the basic framework of the proposed encoder. There are two steps in the proposed work, pre- Hardware encoding and processing [49, 50]. The method of optimized LU decomposition has been used to pre-process the original parity check matrix H, which generates the necessary matrices used by the hardware encoder. For the pre-processing stage, software platform has been used once for the given H matrix. Similarly to encode the message blocks software implementation has been used.

The authors [51] have presented low density parity check code based encoder architecture for DMB-TH based on Application Specific Instruction Set Processor (ASIP). Analysis of the encoding algorithm has been carried out and the ASIP encoder has been optimized. Extraction of the special instruction sets is done according to the optimised algorithm. High throughput is obtained in the ASIP architecture with the use of main processor and co-processor working. Simple programs can change the code rates to 0.4, 0.6 and 0.8 in ASIP encoder and thus it is flexible. Keeping the maximum frequency of 117MHz, based on XC2V6000, throughput of the encoder can deliver 232Mbps, 206Mbps and 187Mbps for 0.8, 0.6 and 0.4 code rates, respectively. Figure 10 shows the connection of the main processor to the co-processor. The coprocessor is the subordinate processor which performs tasks under the control of main processor [52-55].

Figure 9. Hardware and software framework of LDPC encoder

Figure 10. The LDPC encoder architecture

The quasi-cyclic matrix is given in Eq. (2).

$H=\left[\begin{array}{ccccc}H_{1,1} & H_{1,2} O_{1,3} & \cdots \cdots \cdots & H_{1, n} \\ H_{2,1} & H_{2,2} O_{2,3} & \cdots \cdots \cdots & H_{2, n} \\ & & & \vdots \\ H_{m, 1} & H_{m, 2} O_{m, 3} & \cdots \cdots \cdots & H_{m, n}\end{array}\right]$                    (2)

where, H describes the quasi-cyclic matrix, i indicate numbers rows in a matrix, j indicates numbers of columns in matrix is Hi,j represents 127 * 127 matrix, Oi,j  represents the 127 * 127 all zero matrix.

4.2 Low complexity LDPC encoder for CMMB

The authors [56] have presented real time LDPC encoder with low complexity for CMMB. This encoder supports a code rate of 1/2 and 3/4. Also to store data the sparse matrices are used in the memory organization. The entire design has been routed and synthesized using Altera Stratix 2. The rate of encoding is 32.44 Mbps with maximum frequency of 200 MHz for 1/2 code rate and 67.16 Mbps for 3/4 code rate. The arbitrary H Matrix LDPC code can be supported by the fully parameterized LDPC encoder with necessary initialized data of memory block.

The encoded LDPC codes obtained by CMMB are systematic. The parity and information bits can be rearranged as given in Eq. (3).

$C_{Col\_order(i)}=\left\{\begin{array}{cl}P_{b i t_{i}} & 0 \leq i \leq 9215-K \\ S_{b i t_{i+k-9216}} & 9216-K \leq i \leq 9215\end{array}\right\}$                (3)

Table 3. Application of LDPC in various fields

Sl. No.

Authors Name

Year

Merits

Limitations

1

Liao et al. [57]

2021

  • A flexible high speed with maximum throughput LDPC for 5G communication using single GPU processor is proposed.
  • The proposed LDPC encoder has a high throughput of 38-62 GPS with respect to ½ to 8/9 response rate of single GPU.
  • It is very difficult to operate efficiently in confined area.
  • Proposed LDPC encoder has performed more parallel operation using single GPU processor hence its consists more jitters and hazards in output signal it requires more filter to rectify the noise.

2

Choudhary and Janyani [58]

2021

  • The author's presents two modified frame structure for OFDM optical fiber communication using LDPC code.
  • It is capable to carry the high bandwidth signal over a 50KM length at 10Gbps in single-mode fiber.
  • It enhances the OFDM signal error correction and detection rate by using phase conjunction subcarrier coding (PCSC).
  • When a 64-bit data packet communicates over single-mode fiber, it requires more subcarrier for long-distance communication process. Hence the model required for this process is more complex and expensive.

3

Nidagundi and Patil [59]

 

2016

 

  • LDPC codes have improved performance and low complexity of decoding in comparison to Turbo codes.
  • The resources utilization of ASIC FPGA analyzed in terms of number LUTs, logic blocks and bonded IOB.
  • It is observed that the RU method performs encoding operation with a propagation delay of less than 1.256 nanoseconds in comparison with the general method of LDPC encoder.
  • Comparison of ALT and Modified ALT methods is not done.

4

Johnson and Gaur [60]

2016

 

  • The paper proposes lower triangular approach and straight forward method encoding schemes for the LDPC codes and compared the methods for area utilization on ASIC platform.
  • The method reduces the conventional complexity.
  • The LDPC codes prove to be more efficient because of the BER performance
  • The method has FPGA implementation on Spartan 3E board and analysis has been done based on device utilization by the straight forward method in comparison to lower triangular modification method.
  • The delay limitation has not been focused in the lower triangular method.
  • Power utilization has not been focused in both the methods.

5

Kakde and Khobragade [61]

2016

  • This work aims to develop the VLSI architecture with flexible manner by effectively utilizing available resources.
  • A low complexity LDPC designed using architecture of systolic high throughput and message-passing algorithm.
  •  The complete design is simulated and verified using Xilinx ISE 13.1 EDA tool.
  • The work focuses on different levels of obstructions in the design of the decoding
  • The device utilization reduces in ASIC, FPGA due to implementation of pipelining.

6

Dutta and Pramanik [62]

2015

  • In this paper, proposed an algorithm to form matrix of LDPC rectangular sparse into triangle square upper part and rectangular part of the H matrix.
  • The proposed algorithm has better BER performance.
  • The hardware implementation has not been carried out.
  • The performance analysis has not been carried out between modified ALT and systematic ALT methods.

Figure 11. Compatible and low complexity Cyclic Shifters using LPDC

Information bit vector to be encoded is S-bits and the parity code vector is P-bits correspondingly. Where C represents the encoder codes efficiency, Col_order(i) is describing the bit mapping code words as per CMMB standards and K indicates LDPC information code length (4608 bits for ½ rate and 6912 for ¾ code rate). The Table 3 shows the various protocols and application of LDPC encodes. It also identified the merits and limitations of LDPC encoder’s methods.

The authors [63] designed IEEE 802.11n/ac standards based architecture encoder for a Quasi cyclic low density parity check code. To achieve the high throughput and low complexity, the encoding process is designed based on the parallel processing. Low complexity cyclic shifters are used in the encoder architecture to reduce the hardware overhead. The encoder throughput is increased by performing forward and backward accumulation in one clock cycle. Implementation of the proposed encoder is done on a 130nm CMOS technology.

The proposed encoder core has a gate count of 96K. Figure 11 shows the proposed compatible and low complexity CS. Many steps are there in barrel shifter based proposed CS and supports Z in different sizes for cyclic shift by incorporating the multiplexors.

4.3 LDPC encoder algorithm’s response on different code rate

A quasi-cyclic LDPC encoder structure is implemented on FPGA with pipeline architecture [64]. The experiment has been conducted on FPGA board ZYNQ-7 ZC706 with length of block range from 576 to 2304 with a code rate of 5/6. It is implemented by using Verilog HDL and results were analysed using Simulink. The implemented method is designed in such a way that supports different code lengths and code rates. A standard of IEEE 802.16e is followed for the base matrix and base parameters. The encoder throughput, logic elements and clock speed have been identified for different code lengths. The obtained results show that for IEEE 802.16e codes it gives speed up to maximum of 16 GBPS. The authors have presented higher efficiency and low complexity LDPC encoder.

Based on the Urbanke and Richardson work, classic method is used to design this encoder by incorporating a novel dynamic algorithm. This method uses the greedy algorithm with sparse matrix of LDPC codes for approximate triangulation. The encoding complexity has been effectively reduced for LDPC code in CMMB. The LDPC encoder is implemented on Altera Stratix 2 with code rate of 1/2 with 34Mbps encoding rate and 3/4 code rate with 69Mbps encoding rate.

The authors have the generalized LDPC (G-LDPC) and photograph based LDPC, design procedure is simple and has highly structured encoders and decoders. A computer based search is the basis for photograph based codes. In this work authors have used the photographs to design ensemble code word weight for finite length LDPC and generalized LDPC (G-LDPC). Also they consider the asymptotic case in this work. By observing the results of asymptotic case, minimum distance typical relative value grows linearly or not is verified. The code word weight enumerator technique is adopted to obtain the G-LDPC and LDPC codes. The linear growth for relatively smallest value is determined for trapping set size, pseudo weight and smallest stopping set size. From the results it is found that G-LDPC codes in trapping set enumerators is the solution for complex problem.

5. Conclusion

This work encapsulates a brief survey on LDPC code. The process of decoding implementation should not be so tedious compared with the encoding process. The mathematical operations performed on generator matrix and the parity check matrix is the complex part. The implementation in terms of the structures and the regularity of the LDPC codes is simplified. To achieve better error performance, the code detects the bits and handles all the errors and the Shannon limit could be attained. The SNR (signal to noise ratio) should be a high value to have a reliable communication by reducing noise to the minimum possible value. The SNR is improved in LDPC codes, but the geometry based design of LDPC codes has low SNR when compared with turbo structured LDPC codes.

Pipelined structure ASIC implementation has proved to consume less space and less delay in comparison with the non-pipelined structure. The performance in terms of bit error rate is improved in modified ALT technique when compared with lower triangular systematic approximate method. The LDPC general encoder method has more propagation delay than 1.26ns of RU encoding method. The main drawback of the LDPC codes is its high encoding complexity. LDPC codes supports semi parallel architecture to provide parallelism.

Future Scope: LDPC code techniques will be enhanced to operate in 5G and 6G communication encrypted and decrypted operation by adopting reconfigurable parallel switching operations.

Limitation: The LDPC code techniques generate jitter, attenuation and hazards when it is operated in high speed parallel communication system

Acknowledgment

The authors would like to thank SJB Institute of Technology, Bengaluru, JSS Academy of Technical Education, Bengaluru, Visvesvaraya Technological University (VTU), Belagavi and Vision Group on Science and Technology (VGST) Karnataka Fund for Infrastructure strengthening in Science & Technology Level – 2 sponsored “Establishment of Renewable Smart Grid Laboratory” for all the support and encouragement provided by them to take up this research work and publish this paper.

  References

[1] Haddadi, S., Farhang, M., Derakhtian, M. (2021). Generalized weighted bit-flipping LDPC decoding for asymmetric optical channels. Physical Communication, 47: 101369. https://doi.org/10.1016/j.phycom.2021.101369

[2] Wang, X., Li, J., Chang, H., He, J. (2020). Optimization design of polar-LDPC concatenated scheme based on deep learning. Computers & Electrical Engineering, 84: 106636. https://doi.org/10.1016/j.compeleceng.2020.106636

[3] Liu, Q., Zhang, H., Shen, G., Mei, F. (2021). A fast reconstruction of the parity-check matrices of LDPC codes in a noisy environment. Computer Communications, 176: 163-172. https://doi.org/10.1016/j.comcom.2021.05.023

[4] Abdessalem, M.B., Zribi, A., Matsumoto, T., Dupraz, E., Bouallegue, A. (2020). LDPC-based Joint Source Channel Coding and Decoding Strategies for single relay cooperative communications. Physical Communication, 38: 100947. https://doi.org/10.1016/j.phycom.2019.100947

[5] Xu, H., Yu, Z., Feng, D., Zhu, H. (2020). New construction of partial geometries based on group divisible designs and their associated LDPC codes. Physical Communication, 39: 100970. https://doi.org/10.1016/j.phycom.2019.100970

[6] Nguyen, C.D., Cai, K., Wang, B., Hong, Y. (2018). On the design of DC-free K-constrained LDPC codes. In 2018 Asia-Pacific Magnetic Recording Conference (APMRC), pp. 1-2. https://doi.org/10.1109/APMRC.2018.8601099

[7] Bocharova, I.E., Kudryashov, B.D., Johannesson, R. (2015). Searching for binary and nonbinary block and convolutional LDPC codes. IEEE Transactions on Information Theory, 62(1): 163-183. https://doi.org/10.1109/TIT.2015.2496213.

[8] Mahendra, H.N., Mallikarjunaswamy, S., Rekha, V., Puspalatha, V., Sharmila, N. (2019). Performance analysis of different classifier for remote sensing application. International Journal of Engineering and Advanced Technology, 9(1): 7153-7158. https://doi.org/DOI:10.35940/ijeat.A1879.109119

[9] Mallikarjunaswamy, S., Nataraj, K.R., Rekha, K.R. (2014). Design of high-speed reconfigurable coprocessor for next-generation communication platform. In Emerging Research in Electronics, Computer Science and Technology, 57-67. https://doi.org/10.1007/978-81-322-1157-0_7

[10] Zeng, Z., Sun, X. (2012). A new LDPC encoder for CMMB based on RU algorithm. Physics Procedia, 24: 864-870. https://doi.org/10.1016/j.phpro.2012.02.130

[11] Genga, Y., Ogundile, O., Oyerinde, O., Versfeld, J. (2017). A low complexity encoder construction for systematic quasi-cyclic LDPC codes. In 2017 IEEE AFRICON, pp. 167-170. https://doi.org/10.1109/AFRCON.2017.8095475

[12] Wang, L., Chen, J., Zhang, K., Qian, H. (2020). A post-quantum hybrid encryption based on QC-LDPC codes in the multi-user setting. Theoretical Computer Science, 835: 82-96. https://doi.org/10.1016/j.tcs.2020.06.013

[13] Anandarao, S., Chellasamy, S.H. (2021). Detection of hot topic in tweets using modified density peak clustering. Ingénierie des Systèmes d’Information, 26(6): 523-531. https://doi.org/10.18280/isi.260602

[14] Zhang, J.B., Yu, Y., Chen, Z.Y., Li, Z.Z. (2018). A new method for constructing parity check matrix of QC-LDPC codes based on shortening RS codes for wireless sensor networks. Sustainable Computing: Informatics and Systems, 18: 121-126. https://doi.org/10.1016/j.suscom.2017.09.001

[15] Umashankar, M.L., Ramakrishna, M.V., Mallikarjunaswamy, S. (2019). Design of high speed reconfigurable deployment intelligent genetic algorithm in maximum coverage wireless sensor network. In 2019 International Conference on Data Science and Communication (IconDSC), pp. 1-6. https://doi.org/10.1109/IconDSC.2019.8816930

[16] Zhang, J.B., Yu, Y., Chen, Z.Y., Li, Z.Z. (2018). A new method for constructing parity check matrix of QC-LDPC codes based on shortening RS codes for wireless sensor networks. Sustainable Computing: Informatics and Systems, 18: 121-126. https://doi.org/10.1016/j.suscom.2017.09.001

[17] Zoni, D., Galimberti, A., Fornaciari, W. (2020). Efficient and scalable FPGA-oriented design of QC-LDPC bit-flipping decoders for post-quantum cryptography. IEEE Access, 8: 163419-163433. https://doi.org/10.1109/ACCESS.2020.3020262

[18] Ning, S. (2019). Improving resistive RAM hard and soft decision correctable Bers by using improved-LLR and reset-check-reverse-flag concatenating LDPC code. IEEE Transactions on Circuits and Systems II: Express Briefs, 67(10): 2164-2168. https://doi.org/10.1109/TCSII.2019.2960484

[19] Youn, J., Jang, H., Kim, K., Jeong, J. (2005). BER performance due to irregularity of row-weight distribution of the parity-check matrix in irregular LDPC codes for 10-Gb/s optical signals. Journal of Lightwave Technology, 23(9): 2673. https://doi.org/10.1109/JLT.2005.853153

[20] Wang, L., Chen, J., Zhang, K., Qian, H. (2020). A post-quantum hybrid encryption based on QC-LDPC codes in the multi-user setting. Theoretical Computer Science, 835: 82-96. https://doi.org/10.1016/j.tcs.2020.06.013

[21] Daqin, P., Shumin, Z., Jing, S. (2018). A novel construction of QC-LDPC codes based on combinatorial mathematics. Procedia Computer Science, 131: 786-792. https://doi.org/10.1016/j.procs.2018.04.256

[22] Bhardwaj, L., Mishra, R.K. (2020). Mitigating the interference caused by pilot contamination in multi-cell massive multiple input multiple output systems using low density parity check codes in uplink scenario. Traitement du Signal, 37(6): 1061-1074. https://doi.org/10.18280/ts.370619

[23] Poulliat, C., Lamy-Bergot, C., Declercq, D., Fijalkow, I. (2006). Récepteurs source-canal conjoint utilisant des codes LDPC - Joint source-channel iterative receivers using LDPC codes. Traitement du Signal, 23(5): 425-437.

[24] Chen, Y.H., Hsiao, J.H., Siao, Z.Y. (2014). Wi-Fi LDPC encoder with approximate lower triangular diverse implementation and verification. In 2014 IEEE 11th International Multi-Conference on Systems, Signals & Devices (SSD14), pp. 1-6. https://doi.org/10.1109/SSD.2014.6808840

[25] Babich, F., Comisso, M., Dorni, A. (2012). A novel SIR-based access scheme for multi-packet communication in 802.11 networks. In 2012 IEEE International Conference on Communications (ICC), pp. 4494-4498. https://doi.org/10.1109/ICC.2012.6363683

[26] Shih, X.Y., Zhan, C.Z., Wu, A.Y. (2008). A 7.39 mm 2 76mW (1944, 972) LDPC Decoder Chip for IEEE 802.11 n Applications. In 2008 IEEE Asian Solid-State Circuits Conference, pp. 301-304. https://doi.org/10.1109/ASSCC.2008.4708787

[27] Vijayalakshmi, S., Nagarajan, V. (2019). Energy efficient low density parity check scheme for body channel communication using FPGA. Microprocessors and Microsystems, 68: 84-91. https://doi.org/10.1016/j.micpro.2019.04.005

[28] Maier, A.J., Cockburn, B.F. (2017). Optimization of Low-Density Parity Check decoder performance for OpenCL designs synthesized to FPGAs. Journal of Parallel and Distributed Computing, 107: 134-145. https://doi.org/10.1016/j.jpdc.2017.04.001

[29] Li, M., Derudder, V., Desset, C., Dewilde, A., Bourdoux, A., Huang, Y. (2018). A 100 Gbps LDPC decoder for the IEEE 802.11 ay standard. In 2018 IEEE 10th International Symposium on Turbo Codes & Iterative Information Processing (ISTC), pp. 1-5. https://doi.org/10.1109/ISTC.2018.8625286

[30] Zoni, D., Galimberti, A., Fornaciari, W. (2020). Efficient and scalable FPGA-oriented design of QC-LDPC bit-flipping decoders for post-quantum cryptography. IEEE Access, 8: 163419-163433. https://doi.org/10.1109/ACCESS.2020.3020262

[31] Huang, Q., Yuan, S. (2015). Bit reliability-based decoders for non-binary LDPC codes. IEEE Transactions on Communications, 64(1): 38-48. https://doi.org/10.1109/TCOMM.2015.2501298

[32] Mallikarjunaswamy, S., Sharmila, N., Maheshkumar, D., Komala, M., Mahendra, H.N. (2020). Implementation of an effective hybrid model for islanded microgrid energy management. Indian Journal of Science and Technology, 13(27): 2733-2746. https://doi.org/10.17485/IJST/v13i27.982

[33] Mahendra, H.N., Mallikarjunaswamy, S., Siddesh, G.K., Komala, M., Sharmila, N. (2020). Evolution of real-time onboard processing and classification of remotely sensed data. Indian Journal of Science and Technology, 13(20): 2010-2020. https://doi.org/10.17485/IJST/v13i20.459

[34] Umashankar, M.L., Mallikarjunaswamy, S., Ramakrishna, M.V. (2020). Design of high speed reconfigurable distributed life time efficient routing algorithm in wireless sensor network. Journal of Computational and Theoretical Nanoscience, 17(9-10): 3860-3866. https://doi.org/10.1166/jctn.2020.8975

[35] Shivaji, R., Nataraj, K.R., Mallikarjunaswamy, S., Rekha, K.R. (2020). Design and implementation of reconfigurable DCT based adaptive PST techniques in OFDM communication system using interleaver encoder. Indian Journal of Science and Technology, 13(29): 2108-2120. https://doi.org/10.17485/IJST/v13i29.976

[36] Satish, P., Srikantaswamy, M., Ramaswamy, N.K. (2020). A comprehensive review of blind deconvolution techniques for image deblurring. Traitement du Signal, 37(3): 527-539. https://doi.org/10.18280/ts.370321

[37] Shashi Raj, K., Siddesh, G.K., Mallikarjunaswamy, S., Vivek Raj, K. (2020). Interference resilient stochastic prediction based dynamic resource allocation model for cognitive MANETs. Indian Journal of Science and Technology, 13(41): 4332-4350. https://doi.org/10.17485/IJST/v13i41.687

[38] Lee, D.U., Luk, W., Wang, C., Jones, C. (2004). A flexible hardware encoder for low-density parity-check codes. In 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, pp. 101-111. https://doi.org/10.1109/FCCM.2004.4

[39] Srinivasa, S.G., Weathers, A. (2009). An efficient on-the-fly encoding algorithm for binary and finite field LDPC codes. IEEE Communications Letters, 13(11): 853-855. https://doi.org/10.1109/LCOMM.2009.091506

[40] Huang, Y., Wang, J. (2012). Multiple LDPC-encoder layered space-time-frequency architectures for OFDM mimo multiplexing. Wireless Personal Communications, 63(4): 995-1012. https://doi.org/10.1007/s11277-010-0179-1

[41] Nandalal, V., Anand Kumar, V. (2021). Design and analysis of (5, 10) regular LDPC encoder using MRP technique. Wireless Personal Communications, 118(2): 1295-1311. https://doi.org/10.1007/s11277-021-08075-1

[42] Madhu, T.A., Komala, M., Rekha, V., Pooja, S. (2020). Design of fuzzy logic controlled hybrid model for the control of voltage and frequency in microgrid. Indian Journal of Science and Technology, 13(35): 3612-3629. https://doi.org/10.17485/IJST/v13i35.1510

[43] Thazeen, S., GK, S. (2021). Conventional and Subspace Algorithms for Mobile Source Detection and Radiation Formation. Traitement du Signal, 38(1). https://doi.org/10.18280/ts.380114

[44] Umashankar, M.L., Anitha, T.N., Mallikarjunaswamy, S. (2021). An efficient hybrid model for cluster head selection to optimize wireless sensor network using simulated annealing algorithm. Indian Journal of Science and Technology, 14(3): 270-288. https://doi.org/10.17485/IJST/v14i3.2318

[45] Richardson, T.J., Urbanke, R.L. (2001). Efficient encoding of low-density parity-check codes. IEEE Transactions on Information Theory, 47(2): 638-656. https://doi.org/10.1109/18.910579

[46] Yu, H., Cui, J., Wang, Y., Yang, Y. (2012). Systematic construction, verification and implementation methodology for LDPC codes. EURASIP Journal on Wireless Communications and Networking, 2012(1): 1-13. https://doi.org/10.1186/1687-1499-2012-84

[47] Zhao, D.F., Tian, H., Xue, R. (2019). Adaptive rate-compatible non-Binary LDPC coding scheme for the B5G mobile system. Sensors, 19(5): 1067. https://doi.org/10.3390/s19051067

[48] Zeng, Z. (2011). A high-efficiency LDPC encoder with optimized backtracking algorithm. In 2011 Third International Conference on Communications and Mobile Computing, pp. 341-344. https://doi.org/10.1109/CMC.2011.81

[49] Shah, S.A.B., Nooshabadi, S., Har, D.S. (2012). Efficient implementation of channel coding and interleaver for Digital Video Broadcasting (DVB-T2) on FPGA. In 2012 IEEE 16th International Symposium on Consumer Electronics, pp. 1-6. https://doi.org/10.1109/ISCE.2012.6241749

[50] Chaitra, S., Rekha, V., Harisha, A.M., Madhu, T.A., Mallikarjunaswamy, S., Sharmila, N., Mahendra, H.N. (2021). A comprehensive review of parallel concatenation of LDPC code techniques. Indian Journal of Science and Technology, 14(5): 432-444. https://doi.org/10.17485/IJST/v14i5.2171

[51] Zhang, X., Tian, Y., Cui, J., Xu, Y., Lai, Z. (2009). An ASIP encoder for Quasi-Cyclic LDPC codes. In 2009 IEEE International Conference on Intelligent Computing and Intelligent Systems, 3: 585-588. https://doi.org/10.1109/ICICISYS.2009.5358107

[52] Wan, G.C., Luo, Y.F., Liu, X., Dong, D.C. (2009). Study on the decoding algorithm for LDPC based on DMB-TH. In 2009 IEEE International Conference on Industrial Technology, pp. 1-6. https://doi.org/10.1109/ICIT.2009.4939498

[53] Jan, Y., Jóźwiak, L. (2014). Processor architecture exploration and synthesis of massively parallel multi-processor accelerators in application to LDPC decoding. Microprocessors and Microsystems, 38(2): 152-169. https://doi.org/10.1016/j.micpro.2013.11.007

[54] Bae, J.H., Abotabl, A., Lin, H.P., Song, K.B., Lee, J. (2019). An overview of channel coding for 5G NR cellular communications. APSIPA Transactions on Signal and Information Processing, 8. https://doi.org/10.1017/ATSIP.2019.10

[55] He, J., Wen, X., Chen, M., Chen, L., Su, J. (2015). Experimental demonstration of the transmission performance for LDPC-coded multiband OFDM ultra-wideband over fiber system. Optical Fiber Technology, 21: 122-127. https://doi.org/10.1016/j.yofte.2014.09.006

[56] Wang, P., Chen, Y.E. (2008). Low-complexity real-time LDPC encoder design for CMMB. In 2008 International Conference on Intelligent Information Hiding and Multimedia Signal Processing, pp. 1209-1212. https://doi.org/10.1109/IIH-MSP.2008.15

[57] Liao, S., Zhan, Y., Shi, Z., Yang, L. (2021). A high throughput and flexible rate 5G NR LDPC encoder on a single GPU. In 2021 23rd International Conference on Advanced Communication Technology (ICACT), pp. 29-34. https://doi.org/10.23919/ICACT51234.2021.9370366

[58] Choudhary, U., Janyani, V. (2020). Bandwidth efficient frame structures for flip OFDM with phase conjugated sub carriers and LDPC encoding. Optik, 204: 164175. https://doi.org/10.1016/j.ijleo.2020.164175

[59] Nidagundi, J.C., Patil, S.R. (2016). Flexible hardware architecture for LDPC encoder. In 2016 Online International Conference on Green Engineering and Technologies (IC-GET), pp. 1-4. https://doi.org/10.1109/GET.2016.7916782

[60] Johnson, S., Gaur, N. (2016). Comparison of FPGA implementation of LDPC encoder algorithms. In 2016 6th International Conference-Cloud System and Big Data Engineering (Confluence), pp. 603-605. https://doi.org/10.1109/CONFLUENCE.2016.7508190

[61] Kakde, S., Khobragade, A. (2016). VLSI implementation of a rate decoder for structural LDPC channel codes. Procedia Computer Science, 79: 765-771. https://doi.org/10.1016/j.procs.2016.03.100

[62] Dutta, A., Pramanik, A. (2015). Modified approximate lower triangular encoding of LDPC codes. In 2015 International Conference on Advances in Computer Engineering and Applications, pp. 364-369. https://doi.org/10.1109/ICACEA.2015.7164731

[63] Jung, Y., Chung, C., Kim, J., Jung, Y. (2012). 7.7 Gbps encoder design for IEEE 802.11 n/ac QC-LDPC codes. In 2012 International SoC Design Conference (ISOCC), pp. 215-218. https://doi.org/10.1109/ISOCC.2012.6407078

[64] Abu-Surra, S., Divsalar, D., Ryan, W.E. (2011). Enumerators for protograph-based ensembles of LDPC and generalized LDPC codes. IEEE Transactions on Information Theory, 57(2): 858-886. https://doi.org/10.1109/TIT.2010.2094819